142427562

News

Sensitive nharaunda uye kutadza maitiro ekutadza kwemagetsi zvikamu

Mune bepa rino, nzira dzekutadza uye nzira dzekutadza dzezvikamu zvemagetsi zvinodzidzwa uye nzvimbo dzadzo dzakasimba dzinopihwa kuti dzipe imwe referensi yekugadzirwa kwezvinhu zvemagetsi.
1. Typical chikamu kukundikana modes
Siriyero nhamba
Electronic chikamu zita
Zvakatipoteredza-zvine chokuita kukundikana modes
Kushungurudzika kwezvakatipoteredza

1. Electromechanical components
Kuzunguzika kunokonzera kuneta kutyoka kwemakoiri uye kusunungura tambo.
Vibration, kuvhunduka

2. Semiconductor microwave zvishandiso
Tembiricha yepamusoro uye tembiricha kuvhunduka kunotungamira kune delamination pane interface pakati pepakeji zvinhu uye chip, uye pakati pepakeji zvinhu uye chip holder interface yepurasitiki-yakavharwa microwave monolith.
Kupisa kwepamusoro, kupisa kwekushisa

3. Hybrid integrated circuits
Kuvhunduka kunotungamira kune ceramic substrate kupaza, kuvhunduka kwekushisa kunotungamira kune capacitor kupera electrode kupaza, uye tembiricha bhasikoro inotungamira mukutadza kwekutengesa.
Shock, tembiricha kutenderera

4. Discrete Devices uye Integrated Circuits
Thermal breakdown, chip soldering kutadza, yemukati lead bonding kutadza, kuvhunduka kunotungamira kupassivation layer kuputika.
High tembiricha, kuvhunduka, vibration

5. Resistive components
Core substrate rupture, resistive film rupture, lead breakage
Shock, yakakwirira uye yakaderera tembiricha

6. Board level circuit

Kupisa kwepamusoro

7. Mushonga wemagetsi
Kuneta kuputsika kwewaya inopisa.
Vibration
2, yakajairika chikamu kutadza mashandiro ekuongorora
Kukundikana maitiro emagetsi ezvikamu haisi imwe chete, inomiririra chikamu chezvakajairwa zvikamu zvinonzwisisika kushivirira muganhu wekuongorora, kuitira kuti uwane mhedziso yakawanda.
2.1 Electromechanical components
Typical electromechanical components zvinosanganisira magetsi connectors, relays, etc. The kukundikana modes anoongororwa zvakadzama nechimiro chemhando mbiri dzezvikamu zvakateerana.

1) Zvisungo zvemagetsi
Yemagetsi yekubatanidza negoko, insulator uye yekubata muviri weaya matatu mayuniti ekutanga, iyo yekutadza modhi inopfupikiswa mukutadza kubata, kutadza kwekudzivirira uye kutadza kwemuchina kwemhando nhatu dzekutadza.Chimiro chikuru chekukundikana kwemagetsi ekubatanidza kukundikana kwekubatana, kukundikana kwekuita kwayo: kushamwaridzana pakuputika kwepakarepo uye kupikisa kunowedzera.Kune magetsi ekubatanidza, nekuda kwekuvapo kwekusangana kwekupokana uye zvinhu conductor kuramba, kana paine ikozvino kuyerera kuburikidza nemagetsi chinongedzo, kusangana nekupokana uye simbi zvinhu conductor kuramba kunoburitsa Joule kupisa, Joule kupisa kuchawedzera kupisa, zvichikonzera kuwedzera kweiyo tembiricha yenzvimbo yekuonana, yakanyanyisa kubata nzvimbo tembiricha ichaita kuti iyo yekubata kumeso kwesimbi kupfave, kunyunguduka kana kutofashaira, asi zvakare kuwedzera kupikisa kwekubata, nokudaro zvichikonzera kutadza kubata..Mune chinzvimbo chepamusoro tembiricha nharaunda, zvikamu zvekusangana zvichaonekwawo zvinokambaira, zvichiita kuti kudzvanywa kwekubatana pakati pezvikamu zvekusangana kuderera.Kana kudzvinyirirwa kwekubata kunoderedzwa kusvika padanho rakati, kuramba kwekubata kunowedzera zvakanyanya, uye pakupedzisira kukonzeresa kutadza kubata magetsi, zvichikonzera kutadza kubata.

Kune rimwe divi, chinongedzo chemagetsi mukuchengetedza, chekufambisa uye basa, chichave chiri pasi pezvakasiyana siyana zvekuzunguza uye masimba masimba, kana ekunze vibration mutoro wekuwedzeredza frequency uye magetsi ekubatanidza ari padyo neiyo inherent frequency, ichaita yemagetsi yekubatanidza resonance. chiitiko, zvichiita kuti mukaha pakati pezvimedu zvekubatana uwedzere, gaka rinowedzera kune imwe nhanho, iyo yekubata kumanikidzwa ichanyangarika pakarepo, zvichikonzera kusangana kwemagetsi "pakarepo kuputsa".Mukuzununguka, kuvhundutsa mutoro, chibatanidza chemagetsi chichaunza kushushikana kwemukati, apo kushungurudzika kunodarika simba regoho rezvinhu, kuchaita kuti zvinhu zvikuvadze uye kuputsika;mubasa rekushushikana kwenguva refu, zvinyorwa zvichaitikawo kuneta kukanganisa, uye pakupedzisira kukonzera kukundikana.

2) Relay
Electromagnetic relays anowanzo kuumbwa macores, coils, armatures, contacts, tsanga uye zvichingodaro.Chero bedzi imwe voltage ichiwedzerwa kumigumo ese ecoil, imwe yazvino inoyerera mucoil, nekudaro ichigadzira magetsi emagetsi, iyo armature inokunda simba remagetsi rekukwezva kuti ridzokere kuchitubu kudhonzera kupakati, iyo ino dhiraivha iyo armature inofamba mabatiki uye static contacts (kazhinji akavhura macontact) kuvhara.Kana iyo coil yakadzimwa, iyo electromagnetic suction force inonyangarika, armature inodzokera kunzvimbo yekutanga pasi pesimba rekuita rechirimo, kuitira kuti iyo inofamba yekubata uye yepakutanga static kubata (kazhinji yakavharwa kubata) kuyamwa.Uku kusveta uye kusunungurwa, nokudaro kuzadzisa chinangwa chekufambisa uye kugurwa munharaunda.
Iwo makuru mamodhi ekutadza kwese kwe electromagnetic relays ndeaya: relay inowanzo kuvhurika, relay inowanzovharika, relay ine simba chitubu chiitiko hachizadzise zvinodiwa, kuvharwa kwekubata mushure meiyo relay magetsi paramita kupfuura varombo.Nekuda kwekushomeka kweiyo electromagnetic relay yekugadzira maitiro, akawanda emagetsi relay kutadza mukugadzira nzira yekuisa mhando yenjodzi dzakavanzwa, senge mechanical stress yekureverera nguva ipfupi zvichikonzeresa chimiro chemuchina mushure mekuumbwa kwezvikamu, kubviswa kwemasara hakusi kupera. zvichiita kuti PIND bvunzo akundikana kana kunyange kukundikana, fekitari kuedza uye kushandiswa kuongorora hakuna kuomarara kuitira kuti kukundikana mudziyo kushandiswa, etc.Mukugadzirwa kwemidziyo ine relays, zvinodikanwa kuti titarise pane inokonzeresa nharaunda kuchinjika kufunga.

2.2 Semiconductor microwave zvikamu
Microwave semiconductor zvishandiso zvinhu zvakagadzirwa neGe, Si uye III ~ V komputa semiconductor zvinhu zvinoshanda mu microwave band.Iwo anoshandiswa mumidziyo yemagetsi senge radar, electronic warfare systems uye microwave communication systems.Microwave discrete mudziyo kurongedza mukuwedzera pakupa magetsi ekubatanidza uye mechaniki nemakemikari ekudzivirira kwepakati nemapini, dhizaini uye kusarudzwa kweimba kunofanirwawo kufunga nezvekukanganisa kweiyo imba parasitic paramita pane microwave kutapurirana maitiro emudziyo.Iyo microwave imba zvakare chikamu chedunhu, icho pachacho chinoumba yakakwana yekuisa uye inobuda wedunhu.Naizvozvo, chimiro uye chimiro cheimba, saizi, dielectric zvinhu, conductor kumisikidzwa, nezvimwe zvinofanirwa kuenderana neiyo microwave maitiro ezvikamu uye dunhu rekushandisa maficha.Izvi zvinhu zvinotarisa maparamendi akadai se capacitance, magetsi ekutungamira kuramba, hunhu impedance, uye conductor uye dielectric kurasikirwa kwechubhu imba.

Zvakatipoteredza zvakakodzera kukundikana modhi uye mashandiro eiyo microwave semiconductor zvikamu zvinonyanya zvinosanganisira gedhi simbi sink uye kushatiswa kwezvivakwa zvinodzivirira.Gedhi resimbi sink imhaka yeiyo thermally yakasimudzwa kupararira kwegedhi simbi (Au) muGaAs, saka iyi yekutadza nzira inowanzoitika panguva yekumhanyisa bvunzo dzehupenyu kana yakanyanya kupisa tembiricha kushanda.Mwero wegedhi resimbi (Au) kupararira muGaAs ibasa reiyo diffusion coefficient yegedhi resimbi zvinhu, tembiricha, uye zvinhu zvekuisa gradient.Kune yakakwana lattice chimiro, kuita kwechishandiso hakukanganiswe neanononoka kupararira mwero pane yakajairika tembiricha yekushanda, zvisinei, mwero wekupararira unogona kuve wakakosha kana miganhu yezvikamu yakakura kana paine zvakawanda zvakakanganisika.Resistors anowanzo shandiswa mu microwave monolithic yakabatanidzwa maseketi emhinduro maseketi, kuseta iyo bias poindi yezvishandiso zvinoshanda, kuzviparadzanisa, simba rekubatanidza kana kupera kwekubatanidza, kune maviri maumbirwo ekupikisa: simbi firimu kuramba (TaN, NiCr) uye zvishoma doped GaAs. mutete layer resistance.Miedzo inoratidza kuti kuderedzwa kweNiCr kuramba kunokonzerwa nehunyoro ndiyo nzira huru yekutadza kwayo.

2.3 Hybrid integrated circuits
Traditional hybrid integrated circuits, maererano ne substrate pamusoro peiyo gobvu firimu gwara rekutungamira tepi, yakaonda firimu gidhi tepi maitiro akakamurwa kuita mapoka maviri eakakora firimu hybrid akabatanidzwa maseketi uye akaonda firimu hybrid akabatanidzwa maseketi: mamwe madiki akadhindwa edunhu bhodhi (PCB) dunhu, nekuda kweiyo yakadhindwa yedunhu iri muchimiro chemufirimu mune furati bhodhi pamusoro kuti iite conductive pateni, zvakare yakarongerwa seyakasanganiswa integrated circuits.Nekubuda kweakawanda-chip zvikamu iyi yepamusoro yakasanganiswa yedunhu, iyo substrate yakasarudzika yakawanda-layer wiring chimiro uye kuburikidza-gomba process tekinoroji, yaita kuti zvikamu zvive zvakasanganiswa dunhu rakasanganiswa mune yakakwirira-density yekubatanidza chimiro chakafanana neiyo substrate inoshandiswa. mune akawanda-chip zvikamu uye anosanganisira: yakaonda firimu multilayer, gobvu firimu multilayer, yakakwirira-tembiricha co-fired, yakaderera-tembiricha co-fired, silicon-based, PCB multilayer substrate, nezvimwewo.

Hybrid yakabatanidzwa yedunhu kushushikana kutadza modes inonyanya kusanganisira magetsi akavhurika dunhu kutadza kunokonzerwa ne substrate kutsemuka uye welding kutadza pakati pezvikamu uye gobvu firimu conductor, zvikamu uye matete firimu conductor, substrate uye imba.Mechanical kukanganisa kubva pakudonha kwechigadzirwa, kupisa kwekushisa kubva mukushanda kwekutengesa, kuwedzera kushushikana kunokonzerwa ne substrate warpage kusaenzana, lateral tensile kusagadzikana kubva pakupisa kusawirirana pakati pe substrate nesimbi imba uye bonding zvinhu, mechanical stress kana thermal stress concentration inokonzerwa nekuremara kwemukati me substrate, kukuvara kunogona kuitika. kunokonzerwa ne substrate drilling uye substrate kucheka emunharaunda micro cracks, pakupedzisira inotungamira kune ekunze mechanical stress yakakura kudarika inherent mechanical simba receramic substrate iyo Mhedzisiro kukundikana.

Solder zvimiro zvinokonzeresa kudzokororwa tembiricha yebhasikoro kushushikana, izvo zvinogona kutungamira kune kupisa kuneta kweiyo solder layer, zvichikonzera kuderedzwa kwesimba rekubatanidza uye kuwedzera kupisa kwekudzivirira.Kune tin-based class ye ductile solder, basa rekushisa cyclic stress rinotungamira kuneta kwekupisa kweiyo solder layer inokonzerwa nemafuta ekuwedzera coefficient yezvimiro zviviri zvakabatanidzwa nemutengesi hazvienderani, ndeye solder displacement deformation kana shear deformation, mushure mekudzokorora, iyo solder layer ine kuneta inoputika kuwedzera uye kuwedzera, pakupedzisira inotungamira mukuneta kutadza kweiyo solder layer.
2.4 Discrete zvishandiso uye maseketi akabatanidzwa
Semiconductor discrete zvishandiso zvakakamurwa kuita diode, bipolar transistors, MOS field effect tubes, thyristors uye insulated gedhi bipolar transistors neakafara mapoka.Maseketi akabatanidzwa ane huwandu hwakasiyana hwekushandisa uye anogona kupatsanurwa muzvikamu zvitatu zvinoenderana nemabasa avo, anoti dhijitari akasanganiswa maseketi, analogi akabatanidzwa maseketi uye akasanganiswa edhijitari-analog akasanganiswa maseketi.

1) Zvishandiso zvakasiyana
Discrete zvishandiso zvemhando dzakasiyana uye zvine hunhu hwazvo nekuda kwemabasa avo akasiyana uye maitiro, ane misiyano yakakura mukutadza kuita.Nekudaro, semidziyo yekutanga inogadzirwa nesemiconductor maitiro, pane zvimwe zvakafanana mukutadza kwavo fizikisi.Iko kutadza kukuru kwakabatana nekunze mechanics uye yakasikwa nharaunda kuparara kwemafuta, dynamic avalanche, chip soldering kutadza uye yemukati lead bonding kutadza.

Kuparara kwemafuta: Kuparara kwemafuta kana kuparara kwechipiri ndiyo nzira huru yekutadza inobata semiconductor masimba emagetsi, uye yakawanda yekukuvara panguva yekushandiswa ine chekuita neyechipiri yekuparara chiitiko.Kuparara kwechipiri kwakakamurwa kuita kumberi kurerekera kuparara kwechipiri uye kudzoreredza kusarura kwechipiri kuparara.Iyo yekutanga inonyanya kuenderana neiyo mudziyo wekupisa zvivakwa, senge mudziyo wedoping concentration, intrinsic concentration, nezvimwe, nepo iyo yekupedzisira ine chekuita nekuwanda kweavalanche yevatakuri munzvimbo yekuchaja nzvimbo (senge padyo nemuunganidzi), ese ari maviri. ayo anogara achiperekedzwa nekusangana kwezvino mukati memudziyo.Mukushandiswa kwezvikamu zvakadaro, kunyanya kukoshesa kunofanira kubhadharwa kune kuchengetedzwa kwekushisa uye kupisa kupisa.

Dynamic avalanche: Panguva yekuvharika kwesimba nekuda kwekunze kana mauto emukati, ikozvino-inodzorwa kudhumhana ionization phenomenon inoitika mukati memudziyo inopesvedzerwa nemahara inotakura inokonzeresa inokonzeresa avalanche, inogona kuitika mubipolar zvishandiso, diode uye IGBTs.

Chip solder kukundikana: Chikonzero chikuru ndechokuti chip uye solder zvinhu zvakasiyana-siyana zvine coefficients zvakasiyana-siyana zvekuwedzera kwekushisa, saka pane kupisa kusingafananidzi pakupisa kwakanyanya.Mukuwedzera, kuvapo kwe solder voids kunowedzera kupisa kwekupisa kwechigadzirwa, zvichiita kuti kupisa kuwedzere kuipa uye kuumba nzvimbo dzinopisa munzvimbo yemunharaunda, kusimudza tembiricha yejunction uye kukonzera kukanganisa kunoenderana nekushisa se electromigration kuitika.

Inner lead bonding kukundikana: kunyanya kutadza kukora panzvimbo yekubatanidza, kukonzereswa nekuora kwealuminium kunokonzerwa nekuita kwemhute yemvura, chlorine elements, nezvimwewo munzvimbo inopisa uye ine mwando yekupfapfaidza munyu.Kuneta kuputsika kwealuminium bonding kunotungamira kunokonzerwa nekutenderera kwetembiricha kana vibration.Iyo IGBT mumodule package yakakura muhukuru, uye kana ikaiswa nenzira isina kufanira, zviri nyore kwazvo kukonzera kushushikana mupfungwa, zvichikonzera kuneta kuputsika kwemukati inotungamira module.

2) Dunhu rakabatanidzwa
Iyo yekutadza mashandiro emaseketi akabatanidzwa uye kushandiswa kwezvakatipoteredza kune hukama hukuru, hunyoro munzvimbo ine hunyoro, kukuvadzwa kunoitwa nemagetsi akamira kana magetsi emagetsi, kushandiswa kwakanyanya kwechinyorwa uye kushandiswa kwemaseketi akabatanidzwa munzvimbo yemwaranzi isina mwaranzi. kuramba kusimbiswa kunogonawo kukonzera kukundikana kwechigadzirwa.

Interface madhara ane chokuita nealuminium: Mumagetsi ane silicon-based materials, SiO2 layer se dielectric film inoshandiswa zvakanyanya, uye aluminium inowanzoshandiswa sechinhu chekubatanidza mitsara, SiO2 uye aluminium pakupisa kwakanyanya kuchava kemikari yekuita, kuitira kuti iyo aluminium layer iite mutete, kana iyo SiO2 layer ikapera nekuda kwekuita mashandisirwo, inokonzeresa kusangana kwakananga pakati pealuminium nesilicon.Pamusoro pezvo, iyo goridhe inotungamira waya uye aluminium yekubatanidza tambo kana aluminium bonding waya uye kusungirirwa kwegoridhe-yakaiswa lead waya yechubhu shell, inoburitsa Au-Al interface yekubata.Nekuda kwekusiyana kwekemikari kugona kwemasimbi maviri aya, mushure mekushandisa kwenguva refu kana kuchengetedza pakupisa kwepamusoro pamusoro pe200 ℃ inoburitsa akasiyana e intermetallic compounds, uye nekuda kweiyo lattice constants uye thermal kuwedzera coefficients zvakasiyana, munzvimbo yekubatanidza mukati. kushungurudzika kukuru, conductivity inova duku.

Metallization corrosion: Iyo aluminiyumu yekubatanidza tambo pane chip inotapukirwa nekuora nemhute yemvura munzvimbo inopisa uye ine mwando.Nekuda kwekuderedzwa kwemutengo uye nyore kugadzirwa kwemazhinji, akawanda akabatanidzwa maseketi akaputirwa neresin, zvisinei, mhute yemvura inogona kupfuura nemuresin kusvika kune aruminiyamu inobatana, uye tsvina inounzwa kubva kunze kana kunyungudika mukuita resin nesimbi aluminium kukonzeresa. kuora kwealuminum interconnects.

Iyo delamination mhedzisiro inokonzerwa nemhute yemvura: plastiki IC ndiyo yakabatanidzwa yedunhu yakavharwa nepurasitiki uye zvimwe resin polymer zvinhu, kuwedzera kune delamination mhedzisiro pakati pepurasitiki zvinhu uye simbi furemu uye chip (inowanzozivikanwa se "popcorn" maitiro), nekuti iyo resin zvinhu zvine hunhu hweku adsorption yemhute yemvura, iyo delamination mhedzisiro inokonzereswa neiyo adsorption yemvura mhute ichaitawo kuti mudziyo utadze..Kutadza mashandiro ndiko kukurumidza kuwedzera kwemvura mumidziyo yepurasitiki yekuisa chisimbiso pakudziya kwakanyanya, kuitira kuti kupatsanurwa pakati pepurasitiki nekunamatira kwayo kwezvimwe zvinhu, uye mumatambudziko akakomba, muviri wekuisa chisimbiso wepurasitiki unoputika.

2.5 Capacitive resistive components
1) Vanopikisa
Zvakajairwa zvisina-mhepo zvinopikisa zvinogona kukamurwa kuita mhando ina zvichienderana nemhando dzakasiyana-siyana dzinoshandiswa mumuviri wekupikisa, zvinoti alloy type, firimu remhando, gobvu firimu mhando uye synthetic mhando.Kune dzakagadziriswa resistors, iwo makuru ekutadza modes akavhurika dunhu, magetsi parameter drift, nezvimwe;nepo kune potentiometers, iyo huru yekutadza modes yakavhurika redunhu, yemagetsi parameter kukukurwa, ruzha kuwedzera, etc. Nzvimbo yekushandisa ichaitawo kuti resistor kuchembera, iyo ine simba guru pahupenyu hwemagetsi emidziyo.

Oxidation: Oxidation yemuviri inopikisa ichawedzera kukosha kwekupokana uye ndicho chinhu chinonyanya kukosha chinokonzera kuramba kuchembera.Kunze kwemiviri inopikisa yakagadzirwa nesimbi inokosha uye alloys, zvimwe zvinhu zvose zvichakuvadzwa neokisijeni mumhepo.Oxidation ndeyekureba mhedzisiro, uye kana pesvedzero yezvimwe zvinhu ikadzikira zvishoma nezvishoma, oxidation ichava chinhu chikuru, uye tembiricha yepamusoro uye hunyoro hwakanyanya nharaunda inomhanyisa oxidation yevanopikisa.Kune chaiyo resistors uye yakakwirira-yepamusoro kukosha resistors, chiyero chakakosha chekudzivirira oxidation kuchengetedza chisimbiso.Kuisa chisimbiso zvinhu zvinofanira kuva inorganic zvinhu, zvakadai sesimbi, ceramic, girazi, etc. The Organic anodzivirira layer haigoni kudzivirira zvachose mwando permeability uye mhepo permeability, uye anogona chete kutamba kunonotsa basa oxidation uye adsorption.

Kuchembera kwebhainda: Kune organic synthetic resistors, kuchembera kweiyo organic binder ndicho chinhu chikuru chinokanganisa kugadzikana kweanopikisa.Iyo organic binder inonyanya kugadzira resin, iyo inoshandurwa kuita yakanyanya polymerized thermosetting polymer nekupisa kurapwa panguva yekugadzira iyo resistor.Chinhu chikuru chinokonzera kuchembera kwepolymer is oxidation.Iwo emahara radicals anogadzirwa neoxidation anokonzera hinging yepolymer molecular bond, iyo inowedzera kurapa iyo polymer uye inoita kuti iite brittle, zvichikonzera kurasikirwa kwe elasticity uye kukuvara kwemuchina.Iko kuporeswa kwebinder kunoita kuti resistor ideredze muhukuru, ichiwedzera kudzvanywa kwekubatana pakati pezvimedu zvinoitisa uye kudzikisira kupikisa kwekubata, zvichikonzera kudzikira kwekupokana, asi kukuvadzwa kwemuchina kune binder kunowedzerawo kuramba.Kazhinji kuporeswa kwebinder kunoitika zvisati zvaitika, kukuvara kwemagetsi kunoitika mushure, saka kukosha kwekupokana kweorganic synthetic resistors kunoratidza iyo inotevera pateni: kumwe kuderera kwekutanga kwedanho, zvino kutendeuka kuwedzera, uye kune maitiro ekuwedzera.Sezvo kuchembera kwemapolymers kwakabatana zvakanyanya nekushisa uye chiedza, synthetic resistors inomhanyisa kuchembera pasi pemamiriro ekushisa kwakanyanya uye kuratidzwa kwakasimba kwechiedza.

Kuchembera pasi pemutoro wemagetsi: Kuisa mutoro kune chinopikisa kunomhanyisa kuchembera kwayo.Pasi peDC mutoro, chiito che electrolytic chinogona kukuvadza zvidhori zvemufirimu zvitete.Electrolysis inoitika pakati penzvimbo dzinotsvedza dzechidzitiro chakatsvetwa, uye kana resistor substrate iri ceramic kana girazi zvinhu zvine alkali simbi ion, maion anofamba pasi pechiito chemunda wemagetsi pakati penzvimbo.Munzvimbo ine hunyoro, iyi nzira inoenderera mberi nechisimba.

2) Capacitors
Iyo yekutadza modes ye capacitor ipfupi dunhu, yakavhurika dunhu, kuderedzwa kwemagetsi paramita (kusanganisira shanduko yesimba, kuwedzera kwekurasikirwa angle tangent uye kudzikira kwekupokana kwekudzivirira), kuvuza kwemvura uye kuparara kwecorrosion.

Dunhu pfupi: Iyo inobhururuka arc pamucheto pakati pemapango pakupisa kwepamusoro uye kuderera kwemhepo kunotungamira kupfupi dunhu re capacitors, nekuwedzera, iyo mechanical kusagadzikana senge kunze kwekunze kunokonzeresa kupfupi kupfupi dunhu re dielectric.

Vhura dunhu: Oxidation yewaya dze lead uye ma electrode contacts anokonzerwa nekunyorova uye kupisa nharaunda, zvichikonzera yakaderera mwero kusasvikika uye ngura kuputsika kweanode lead foil.
Kushatisa kwemagetsi paramita: Kushatisa kwemagetsi paramita nekuda kwesimba renzvimbo ine hunyoro.

2.6 Bhodhi-chikamu chedunhu
Yakadhindwa redunhu bhodhi rinonyanya kuumbwa insulating substrate, simbi wiring uye kubatanidza akasiyana akaturikidzana waya, solder components "mapedhi".Basa rayo guru nderekupa mutakuri wezvikamu zvemagetsi, uye kutamba basa rekubatanidza magetsi nemagetsi.

Iyo yekutadza modhi yeakadhindwa redunhu bhodhi rinonyanya kusanganisira kushata, kuvhurika uye kupfupi dunhu, kuputika, kuputika kwebhodhi delamination, bhodhi pamusoro pecorrosion kana kudhirowa, bhodhi kukotama.


Nguva yekutumira: Nov-21-2022